################################################################################
#make.csh
################################################################################
#!/bin/tcsh -f
#
#
#make.csh
################################################################################
#!/bin/tcsh -f
#
#
set NOVAS_INST_DIR = /disks/depot3/novas/verdi200907
setenv LD_LIBRARY_PATH ${NOVAS_INST_DIR}/share/PLI/nc_latest/LINUX/nc_shared:${LD_LIBRARY_PATH}
if($1 =~ “”) then
irun -sv test.sv
else
irun -sv +FSDB_EN=1 -access +rwc test.sv
endif
irun -sv test.sv
else
irun -sv +FSDB_EN=1 -access +rwc test.sv
endif
################################################################################
#test.sv
################################################################################
module test();
reg clk;
integer en;
#test.sv
################################################################################
module test();
reg clk;
integer en;
initial begin
clk = 0;
forever #1 clk = ~clk;
end
clk = 0;
forever #1 clk = ~clk;
end
initial begin
if($value$plusargs(“FSDB_EN=%d”, en)) begin
$display(“value was %d”, en);
$fsdbDumpfile(“./wave.fsdb”);
$fsdbDumpvars(0, test);
$fsdbDumpon();
end
else begin
$display(“not need to dump wave”);
end
#1000 $finish();
end
endmodule
if($value$plusargs(“FSDB_EN=%d”, en)) begin
$display(“value was %d”, en);
$fsdbDumpfile(“./wave.fsdb”);
$fsdbDumpvars(0, test);
$fsdbDumpon();
end
else begin
$display(“not need to dump wave”);
end
#1000 $finish();
end
endmodule
No comments:
Post a Comment